8位verilog加法器

2020-01-27 13:18发布

本案例:明德扬首创全新FPGA设计技巧--至简设计法,教你如何一步一步去完成一个复杂电路的设计,里面很多有实用技巧,熟练运用这些技巧,有助于你写出非常优秀的FPGA设计代码。非常简洁易读,欢迎比较。一、   功能描述Verilog HDL来描述加法器是相当容易的,只需要把运算表达式写出来即可,本案例用加法运算符实现了8位加法器的功能。二、        平台效果图仿真效果图 发布.png 三、    实现过程输入输出信号列表如下:信号名I/O位宽说明clkI1系统工作时钟50Mrst_nI1系统复位信号,低电平有效vld_inI2输入有效指示信号aI8数据abI8数据bsumO8和coutO1输出进位vld_outO1输出有效指示信号
0条回答

一周热门 更多>