怎样用原理图设计一个8位计数器?

2020-01-30 15:54发布

求助各位大佬!!
有没有大佬知道怎么在原理图里设计一个8位计数器,用IP核还是怎样??求助哇QvQ!
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
奇异熊
1楼-- · 2020-01-30 20:10
已解决
zhangmangui
2楼-- · 2020-01-31 00:10
分享一下吧    直接用verilog很简单实现的

一周热门 更多>