FPGA做的OV7670的图像显示(EDK方式实现),小玩意儿

2020-02-02 10:37发布

之前用SDRAM做缓存、配合block ram做fifo,实现了7670图像在显示器上的显示。7670的参数配置是通过计算机串口发送参数,然后到FPGA,FPGA在配置7670.整个流程虽然实现了,效果也不错,但是代码复杂,开发麻烦,在整个开发过程中做了很多次仿真调试。主要是要做好7670图像数据速率与VGA显示速率之间的匹配。后来想到之前用过VFBC,因此,重新又在EDK环境下,进行了一次开发。这次代码量小了很多,整个过程设计起来也很轻松。7670的参数配置也是直接通过C代码来写的。调试也方便。这里我把整个过程用一个文档表示出来(文档大了,上传不了,有需要的,站内短我),有兴趣的同学可以参考下。
下面是两张显示结果照片。用手机照的。我发现手机照下来的颜 {MOD}要偏淡些(颜 {MOD}偏淡的原因是显示屏是扫描的,每秒是60帧,因此拍照会存在颜 {MOD}偏淡的情况),实际颜 {MOD}还是很饱满的。 Copy of IMG_20140415_224513.jpg Copy of IMG_20140415_224500.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
11条回答
花本涛
1楼-- · 2020-02-02 16:32
希望哪天也能做出来
星空下的屋顶
2楼-- · 2020-02-02 21:29
你做的OV7670的文档还有保存么?有的话给我发一份吧!谢谢  xkxdwd@163.com
lwx_87
3楼-- · 2020-02-03 00:27
 精彩回答 2  元偷偷看……
平漂流
4楼-- · 2020-02-03 03:18
大神,OV7670的文档发一份学习一下呗,谢谢啦。1228270707@qq.com
mybottle1
5楼-- · 2020-02-03 07:25
你好,我现在要用FPGA控制mIPI接口的摄像头,想参考一下你的程序,OV13850的摄像头,大神发份资料呗,1903592760@qq.com
littbi
6楼-- · 2020-02-03 07:45
我最近在学fpga,快到图像显示那一块了,大神麻烦发我一份参考一下,谢谢   1159455540@qq.com

一周热门 更多>