DAC驱动

2020-02-04 10:22发布

本帖最后由 用劲儿飞吧 于 2016-7-15 15:57 编辑

刚接触FPGA,实在不熟悉,附图是DAC2904时序,怎么写驱动时序啊,哪位大侠有类似的并口DAC驱动没啊,万分感激啊
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
cnb12345
1楼-- · 2020-02-04 16:19
看不到图
用劲儿飞吧
2楼-- · 2020-02-04 17:17
cnb12345 发表于 2016-7-15 14:55
看不到图

把图上了,帮忙看看代码行不

library IEEE;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
--实体
entity da1_test is
    port (
          clk:in STD_LOGIC  ;  --系统主时钟
          da1_clk:out std_logic;  --给DA的时钟
          da1_d:out std_logic_vector(13 downto 0)  --给DA的数据
                        );
end da1_test;
------------------------------------------------
architecture topdesign_arch of da1_test is
------------------------------------------------
signal count_clk:std_logic_vector(13 downto 0);
------------------------------------------------

begin
-------------------------------------------------
da1_clk <=clk;  --给DA的时钟
--da_clk <=not count_clk(0);  --给DA的时钟
-------------------------------------------------

process(clk)
begin
        if clk'event and clk='1' then
-------------------------------------------------
           count_clk<=count_clk+1;
           da1_d<=count_clk(13 downto 0);
-------------------------------------------------
        end if ;
-------------------------------------------------
end process;
-------------------------------------------------
end topdesign_arch;
用劲儿飞吧
3楼-- · 2020-02-04 23:01
 精彩回答 2  元偷偷看……
玄德
4楼-- · 2020-02-05 01:29

先把WRTx、CLKx拉低,然后输出DATA IN数据;
延时ts时间之后,再把它们拉高,并保持tLPW、tCPW时间,然后拉低;
上述动作重复一次,前次给查的DATA IN数据将在DAC端输出。

时序就是这意思,实现这个波形就可以。

用劲儿飞吧
5楼-- · 2020-02-05 05:54
玄德 发表于 2016-7-15 16:22
先把WRTx、CLKx拉低,然后输出DATA IN数据;
延时ts时间之后,再把它们拉高,并保持tLPW、tCPW时间,然后 ...

延时怎么实现啊,计数器么?
玄德
6楼-- · 2020-02-05 07:34
用劲儿飞吧 发表于 2016-7-15 17:00
延时怎么实现啊,计数器么?


当然。


一周热门 更多>