例化选择特定的几位输出遇到的问题

2020-02-04 10:24发布

各位大侠们

    故事是这样发生的,我在top模块定义了一个输出
    OUTPUT[2:0]OUT300; 是wire类型
    然后在底层模块中定义了一个寄存器组
   //以下为底层模块的端口定义
   output[7:0]OUT300A;
    reg[7:0]OUT300A;
  //现在要例化
    .OUT300A(OUT300),   //这么写是没问题的 也不报错.但是只取了低3位的数据
    .OUT300A[6:4](OUT300[2:0]), //这样写就会有问题 报错
   具体的报错内容是这个
   Error (10170): Verilog HDL syntax error at WAP2_D1.v(165) near text "[";  expecting ")", or ","
  所以请教各位大侠 是什么问题

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
waiter
1楼-- · 2020-02-05 07:31
我才不想去写这个东西呢。如果连我说的这个,你都还认为不对,那么你的确是还有很多东西要学习啊!
habc987
2楼-- · 2020-02-05 07:34
8楼正解

一周热门 更多>