这个式子该怎么表示。

2020-02-04 10:40发布

for(k=-1;k<=1;k++)
      {
           for(l=-1;l<=1;l++)
                {
                     avg=avg+pd[i+k][j+l];
                }
      }
我想知道这些式子在Verilog中该怎么表示,特别是 avg=avg+pd[1+k][1+l];这个式子,对于pd[k+1][l+1]怎么办。谢谢给位来指点。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
魔人布欧
1楼-- · 2020-02-05 02:22
xiamingmin163 发表于 2016-4-27 14:02
首先,FOR循环在verilog里面会被直接展开,编译器一般支持,但两个FOR嵌套的做法不好,起码巨耗资源,不要 ...

在C语言里pd[k+1][l+1]是二维数组,但是在Verilog中,它的存储方式是以行的存储方式来的吧。对了 你有没有256*8bit的RAM 自己写的源代码啊。
魔人布欧
2楼-- · 2020-02-05 04:32
xuander 发表于 2016-4-27 11:18
不是RAM,它肯定要占用逻辑单元里面一个寄存器。

那估计是要自己去写一个RAM 来存储数据吧。
abcdfff
3楼-- · 2020-02-05 09:08
那个数组要写成一个表吗?用查表法

一周热门 更多>