编译是出现的问题?

2020-02-06 11:19发布

编译是出现的问题,帮忙看一看,改了几遍都不知道出错在哪?谢谢?

Error (10170): Verilog HDL syntax error at Verilog1.v(12) near text "always";  expecting "@", or an identifier ("always" is a reserved keyword )
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
魔人布欧
1楼-- · 2020-02-06 17:17
module srcn(pd,nc1,i1,j1);

   input clk,rst_n;
   reg[63:0] sc1,ac1,tc,tc1,s1;
   reg[63:0] pd [1:510][1:510];
   reg[31:0] nq[0:1];
  
   integer i1,j1;

  initial
   
        always @(posedge clk or negedge rst_n)  
begin
     for(i=1;i<511;i=i+1)
        begin
           for(j=1;j<511;j=j+1)
              begin      
               ac1=64'b0;
               tc=64'b0;
               sc1=64'b0;
                 for(k=0;k<=2;k=k+1)
                     begin
                        for(l=0;l<=2;l=l+1)
                          begin
                            ac1=ac1+pd[i+k][j+l];
                          end
                     end
  for(k=0;k<=2;k=k+1)
     begin
       for(l=0;l<=2;l=l+1)
           begin
             tc1=pd[i+k][j+l]-ac1;
             tc=tc+pd[i+k][j+l]*tc1;
           end
end
     s1=tc/9;
     sc1=s1^0.5;
if(sc1<1.5)
    begin
       nq[0] = nq[0]+1;
    end
else
    begin
       nq[1] = nq[1]+1;
    end
end
end
end
endmodule
这是写的代码。
魔人布欧
2楼-- · 2020-02-06 22:26
魔人布欧 发表于 2016-3-8 10:30
module srcn(pd,nc1,i1,j1);

   input clk,rst_n;

希望各大高手帮忙修改一下,谢谢.
紫藤遐想
3楼-- · 2020-02-07 00:30
输入输出不对啊,,没看到输出在哪。。。。。。。。
魔人布欧
4楼-- · 2020-02-07 01:57
紫藤遐想 发表于 2016-3-8 16:05
输入输出不对啊,,没看到输出在哪。。。。。。。。

最后额输出是nq[],他的C语言代码是
        if(std<1.5)
             {
                  nse[0]++;
             }
        else
           {
                 nse[1]++;
           }
怎样用Verilog代码写出来,希望帮忙,谢谢!
3008202060
5楼-- · 2020-02-07 07:56
 精彩回答 2  元偷偷看……
魔人布欧
6楼-- · 2020-02-07 09:26
3008202060 发表于 2016-3-16 23:53
always@(posedge clk or posedge rst) begin
if(rst)
      nse[1:0]

谢谢。我还有一个问题就是关于多重for循环程序如下:
  1. void main(short int** pd,int* nse,int il,int jl)

  2. {
  3.        
  4.         int i,j,k,l;
  5.        
  6.         double avg,temp;
  7.        
  8.     for(i=1;i<15;i++)
  9.       {

  10.         for(j=1;j<15;j++)
  11.          {
  12.             avg=0;
  13.                        
  14.             temp=0;

  15.             for(k=-1;k<=1;k++)
  16.                {
  17.                                
  18.                   for(l=-1;l<=1;l++)
  19.                       {
  20.                                        
  21.                          avg+=(double)pd[i+k][j+l];
  22.                                
  23.                        }
  24.                        
  25.                 }
  26.          avg=avg/((double)sn*sn);
  27.             for(k=-1;k<=1;k++)
  28.                {
  29.                                
  30.                   for(l=-1;l<=1;l++)
  31.                       {
  32.                                        
  33.                          temp+=((double)pd[i+k][j+l]-avg)*((double)pd[i+k][j+l]-avg);
  34.        
  35.                        }
  36.        
  37.                 }  
复制代码这个该怎么写,有什么方法?谢谢!

一周热门 更多>