Xilinx FPGA入门连载37:SRAM读写测试之时序解读

2020-02-06 12:18发布

Xilinx FPGA入门连载37SRAM读写测试之时序解读特权同学,版权所有配套例程和更多资料下载链接:http://pan.baidu.com/s/1jGjAhEm
1.jpg 1 SRAM读写时序解读         存储器铺天盖地,并且是各个大小计算机系统(包括嵌入式系统)比不可少的部分。可以毫不夸张的讲,有数据传输处理的地方必定有存储器,不管是CPU内嵌的或外挂的,在做代码存储或程序运行的时候也必定少不了它。而本节的实验对象SRAM(Static RAM)是一种异步传输的易失存储器,它读写传输较快,控制时序也不复杂,因此目前有着非常广泛的应用。你找来任何一颗SRAM芯片的datasheet,会发现它们的时序操作大同小异,在这里总结一些它们共性的东西,也提一些用Verilog简单的快速操作SRAM的技巧。SRAM内部的结构如图所示,要访问实际的Momory区域,FPGA必须送地址(A0-A14)和控制信号(CE#OE#WE#),SRAM内部有与此对应的地址译码(decoder)和控制处理电路(control circuit)。这样,数据总线(I/O0-I/O7)上的数据就可以相应的读或写了。 2.jpg          这里就以本实验使用的IS62LV256-45U为例进行说明。其管脚定义如表所示。  序号  管脚方向描述1A0-A14Input地址总线。2CEnInput芯片使能输入,低有效。3OEnInput输出使能输入,低有效。4WEnInput写使能输入,低有效。5I/O0-I/O7Inout数据输入/输出总线。6VCCInput电源。7GNDInput数字地。
0条回答

一周热门 更多>