综合的时候报错,没办法解救

2020-02-17 19:45发布

ERROR:ConstraintSystem:59 - Constraint <NET "XRSP"  LOC = "P2" ;> [test.ucf(4)]:
   NET "XRSP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led1"  LOC = "P4" ;> [test.ucf(6)]:
   NET "led1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led2"  LOC = "P5" ;> [test.ucf(7)]:
   NET "led2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "DIR6"  LOC = "P6" ;> [test.ucf(8)]:
   NET "DIR6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "EN6"  LOC = "P7" ;> [test.ucf(9)]:
   NET "EN6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled0"  LOC = "P9" ;>
   [test.ucf(10)]: NET "oled0" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled1"  LOC = "P10" ;>
   [test.ucf(11)]: NET "oled1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled2"  LOC = "P11" ;>
   [test.ucf(12)]: NET "oled2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled3"  LOC = "P12" ;>
   [test.ucf(13)]: NET "oled3" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled4"  LOC = "P13" ;>
   [test.ucf(14)]: NET "oled4" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled5"  LOC = "P14" ;>
   [test.ucf(15)]: NET "oled5" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled6"  LOC = "P15" ;>
   [test.ucf(16)]: NET "oled6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled7"  LOC = "P16" ;>
   [test.ucf(17)]: NET "oled7" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "ECLK"  LOC = "P31"  ;>
   [test.ucf(29)]: NET "ECLK" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "RSTP"  LOC = "P143"  ;>
   [test.ucf(119)]: NET "RSTP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:NgdBuild:605 - logical root block 'T_test' with type 'T_test' is
   unexpanded. Symbol 'T_test' is not supported in target 'xc9500xl'.
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
nealfei
1楼-- · 2020-02-18 01:17
按照官网上的办法处理过,但是没结果,http://www.xilinx.com/support/answers/32089.htm,还是会报以上的错误,真是很急没希望高手指点指点啊
GoldSunMonkey
2楼-- · 2020-02-18 04:28
他是说你管脚不存在啊。

你给的网页,只是说你都没问题的情况下才起作用啊。
ococ
3楼-- · 2020-02-18 04:42
错误信息很清楚啊,你ucf里面约束的管脚在你顶层RTL代码里面不存在。
pailong001
4楼-- · 2020-02-18 09:48
太有意思啊
daisyly
5楼-- · 2020-02-18 11:56
 精彩回答 2  元偷偷看……
GoldSunMonkey
6楼-- · 2020-02-18 14:07
:)

一周热门 更多>