综合的时候报错,没办法解救

2020-02-17 19:45发布

ERROR:ConstraintSystem:59 - Constraint <NET "XRSP"  LOC = "P2" ;> [test.ucf(4)]:
   NET "XRSP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led1"  LOC = "P4" ;> [test.ucf(6)]:
   NET "led1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "led2"  LOC = "P5" ;> [test.ucf(7)]:
   NET "led2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "DIR6"  LOC = "P6" ;> [test.ucf(8)]:
   NET "DIR6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "EN6"  LOC = "P7" ;> [test.ucf(9)]:
   NET "EN6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled0"  LOC = "P9" ;>
   [test.ucf(10)]: NET "oled0" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled1"  LOC = "P10" ;>
   [test.ucf(11)]: NET "oled1" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled2"  LOC = "P11" ;>
   [test.ucf(12)]: NET "oled2" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled3"  LOC = "P12" ;>
   [test.ucf(13)]: NET "oled3" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled4"  LOC = "P13" ;>
   [test.ucf(14)]: NET "oled4" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled5"  LOC = "P14" ;>
   [test.ucf(15)]: NET "oled5" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled6"  LOC = "P15" ;>
   [test.ucf(16)]: NET "oled6" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "oled7"  LOC = "P16" ;>
   [test.ucf(17)]: NET "oled7" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "ECLK"  LOC = "P31"  ;>
   [test.ucf(29)]: NET "ECLK" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:ConstraintSystem:59 - Constraint <NET "RSTP"  LOC = "P143"  ;>
   [test.ucf(119)]: NET "RSTP" not found.  Please verify that:
   1. The specified design element actually exists in the original design.
   2. The specified object is spelled correctly in the constraint source file.
ERROR:NgdBuild:605 - logical root block 'T_test' with type 'T_test' is
   unexpanded. Symbol 'T_test' is not supported in target 'xc9500xl'.
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
GG_GG
1楼-- · 2020-02-18 18:55
重新建个工程吧
GoldSunMonkey
2楼-- · 2020-02-18 23:22
;P
huangdicaiyong
3楼-- · 2020-02-18 23:44
请问这个问题最终怎么解决的

一周热门 更多>