CPLD软件实现上电复位

2020-02-17 19:55发布

我板上没有上电复位信号,想在CPLD上电后,插入一个等待逻辑,实现全局复位.
就是上电后用计数器产生延时,但编译后发现这个部分好象被优化掉了,不知道哪里的问题?
        p_rst: process(clk_in,reset_cnt_reg)
        begin
                if clk_in'event and clk_in = '1' then
                        if  reset_cnt_reg>= x"80000000" then                                reset <= '1';
                                reset_cnt_reg <= x"80000000";
                        else       
                                reset <= '0';
                                reset_cnt_reg <=reset_cnt_reg+1;
                        end if;
                end if;
   end process p_rst;
ALTERA的CPLD时,在QUARTUS II 11.0下编程,哪位高手知道是什么原因引起的啊?或者软件上电复位怎么做
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
zkf0100007
1楼-- · 2020-02-17 20:59
你看看编译选项吧,没用过QUARTUS
我在Xilinx和Actel上用过上电复位,跟你的差不多
zslw
2楼-- · 2020-02-18 02:45
我自己改了一下,不知道是不是编译的问题;
reset_cnt_reg>= x"80000000" 改成reset_cnt_reg = x"80000000" 就好了,否则在布线的时候可能被优化掉...

一周热门 更多>