CASE语句内部能嵌入CASE语句么---VERILOG可综合编程

2020-02-23 10:36发布

如何,大侠们
   CASE语句内部能嵌入CASE语句么---VERILOG可综合编程? 如果用IF语句写十分麻烦,CASE简洁明了。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。