reg型数组的初始化配置问题

2020-02-23 10:55发布

各位好,我的Verilog代码里用到 reg [15:0] Data_org [68:0];这样的寄存器组,我想在Reset里全置0,该 怎么办呢,不会得一个值一个值 的配吧,用 for循环可以吗?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
luyaker
1楼-- · 2020-02-23 11:10
仅供参考
module test(rst,clk,ek,lk);
input rst,clk;
input [15:0] ek;
output reg [15:0] lk;

reg [15:0] Data_org [68:0];
reg [15:0] cnt;
generate
  genvar i; //generate 69 instance
  for(i=0; i<69; i=i+1) begin: modulename  
                        always@(posedge clk or posedge rst)
                        if(rst)
                        Data_org[i]<=16'h0;
                        else
                                Data_org[i]<=ek+i;
         end
endgenerate

always@(posedge clk or posedge rst)
if(rst)
        cnt<=16'd0;
else
        cnt<=cnt+1'b1;
       
always@(posedge clk or posedge rst)
if(rst)
        lk<=16'd0;
else
        lk<=Data_org[cnt];
       
endmodule
蓝色风暴@FPGA
2楼-- · 2020-02-23 13:52
如果是altera,所有节点上电init的时候就为0了
痴小吃
3楼-- · 2020-02-23 17:19
不对。

一周热门 更多>