USB-Blaster下载器驱动程序安装失败?

2020-02-24 20:21发布

本帖最后由 xuxin5406 于 2013-4-27 21:49 编辑

用于FPGA开发板的ALTERA USB-Blaster下载器驱动程序安装失败?(提示说无法验证数字签名,)
请问大虾如何解决?
请问USB-Blaster安装不成功是咋回事?
file:///C:/Users/Administrator/AppData/Roaming/Tencent/Users/1023313082/QQ/WinTemp/RichOle/JI_U_B%60P50PQYE8SI~I%7B1%25H.jpg(有感叹号,打开QuartusII软件也检测不到)
原因:file:///C:/Users/Administrator/AppData/Roaming/Tencent/Users/1023313082/QQ/WinTemp/RichOle/3OK4~%7BBO5%7BT@RARJ9XR8(P8.jpg
求大虾解决~

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
王紫豪
1楼-- · 2020-02-25 00:08
重启
gxb2525775
2楼-- · 2020-02-25 04:52
你早我的电脑(计算机)里找到带感叹号的ALTERA USB-Blaster图标,右击,在窗口点击“更新成驱动程序”,在此窗口里选择浏览计算机里软件安装或更新,进入另一个窗口,在路径选择你安装ALTER,QUARTUS_II软件里的quartus文件夹里的drivers文件夹里的USB-blaster,点击确认 OK了,等待它更新完成,最后关闭窗口。这时你的设备USB-Blaster就可以用了!

一周热门 更多>