关于verilog实现CRC的问题

2020-02-24 20:34发布

CRC-16是一种校验码标准,他的生成多项式为G(x)=x^16+x^15+x^2+1;不明白下面生成串行16位校验码的程序(求好心的大神指导):
QQ图片20131120160930.jpg QQ图片20131120161103.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
11条回答
haitaox
1楼-- · 2020-02-24 23:36
你可以先百度一下CRC的知识,用verilog代码实现其实是很简单的,推荐你个网站 outputlogic,你搜索一下吧,名字记不太全了
huangxz
2楼-- · 2020-02-25 05:23
看综合后的电路会明白一点
fengfei19901026
3楼-- · 2020-02-25 08:43
 精彩回答 2  元偷偷看……
joychou
4楼-- · 2020-02-25 13:30
未命名.bmp
fengfei19901026
5楼-- · 2020-02-25 15:13
joychou 发表于 2013-11-24 22:57

这个你用FPGA实现过吗
higuain_king
6楼-- · 2020-02-25 15:44
顶一下:)

一周热门 更多>