FPGA能否软件复位?

2020-02-28 18:18发布

以sp3系列为例,FPGA能不能像单片机那样,有一个复位引脚,一个电平信号使FPGA程序重新加载?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
15条回答
xiuminxu
1楼-- · 2020-02-29 21:31
 精彩回答 2  元偷偷看……
DYCN
2楼-- · 2020-02-29 23:40
复位用任意IO都可以,重新加载建议用PROG
xiuminxu
3楼-- · 2020-03-01 04:45
DYCN 发表于 2013-8-23 11:37
复位用任意IO都可以,重新加载建议用PROG

非常感谢您的回复速度,我想让FPGA重新加载,我买的板子上的PROG已经接上一个按键,我想在程序中实现重新加载,怎么办,谢谢
xiuminxu
4楼-- · 2020-03-01 05:27
xiuminxu 发表于 2013-8-23 13:51
非常感谢您的回复速度,我想让FPGA重新加载,我买的板子上的PROG已经接上一个按键,我想在程序中实现重新 ...

就是,当满足某个条件,fpga会自动选择重新加载,可以实现吗?期待您的建议
xiuminxu
5楼-- · 2020-03-01 09:37
xiuminxu 发表于 2013-8-23 13:54
就是,当满足某个条件,fpga会自动选择重新加载,可以实现吗?期待您的建议 ...

而我的PROG已经接到一个按键上,可以用?
GoldSunMonkey
6楼-- · 2020-03-01 11:47
 精彩回答 2  元偷偷看……

一周热门 更多>