DSP与FPGA最简单的通信方法

2019-03-26 16:12发布

请问各位,我想把存在FPGA里的AD采样数据传给DSP进行处理,请问用什么方法实现最简单啊.谢谢 此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
deweyled
1楼-- · 2019-03-26 23:06
< 挂到EMIF接口上,当作一个普通设备访问
lg2841
2楼-- · 2019-03-27 00:18
< FPGA里面实现一个双口RAM,然后把这个RAM挂在DSP的总线上当成DSP的外部存储器
一丝执念
3楼-- · 2019-03-27 00:44
 精彩回答 2  元偷偷看……
daichunjiang
4楼-- · 2019-03-27 03:53
 精彩回答 2  元偷偷看……
chenkui456
5楼-- · 2019-03-27 04:26
可以参考下ISA总线的读写!

一周热门 更多>