如何对多个IO口同时赋值?

2019-03-23 19:55发布

请问,对于不同的IO,比如GPIOA1,A2,A3 ,B1,B2,B3,C1,C2 可不可以用结构体对这非连续的八个IO口写到一组里面,然后向对这一组IO口的同时赋值?或者对这一组IO口的值同时读取值?
多谢!

此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。