创龙6748的PLL1时钟怎么查看?

2019-07-15 19:22发布


按照PLL总框图:
PLL总框图.png

1、我先配置CLKOUT引脚复用,从该引脚用示波器查看PLL0_SYSCLK(1~7)以及PLL1_SYSCLK(1~3);
2、然后在OCSEL[OCSRC]中选择PLLC1 OBSCLK输出,即选择查看PLL1_SYSCLK(1~3)的时钟;
3、最后选择PLL1_SYSCLK(1~3)中的一个进行查看。
理论上,由GEL文件初始化后时钟为:
PLL1_SYSCLK1=312MHz;PLL1_SYSCLK2=156MHz;PLL1_SYSCLK3=104MHz。
但是示波器看出来的都是几十KHz,与GEL文件所配置时钟相去甚远!
下面是我查看各时钟的代码:
代码.png

请教大神,帮忙指点!!!!!

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。