Xilinx 生成jesd 204后生成不了bit文件

2019-07-15 20:47发布

如下所示:



[Common 17-345] A valid license was not found for feature 'Synthesis' and/or device 'xc7k325t'. Please run the Vivado License Manager for assistance in determining
which features and devices are licensed for your system.
Resolution: Check the status of your licenses in the Vivado License Manager. For debug help search Xilinx Support for "Licensing FAQ". If you are using a license server, verify that the license server is up and running a version of the xilinx daemon that is compatible with the version of Xilinx software that you are using. Please note that Vivado 2017.3 and later requires upgrading your license server tools to the Flex 11.14.1 tools. Please confirm with your license admin that the correct version of the license server tools are installed.



我已经在官网申请了license,如图所示。


问题:我申请了license,但是还是不能生成bit流文件,还是提示license不对,求用过的人帮下忙,看是什么问题?如果谁用过jesd204b这个IP核,请联系我,有重谢。

如如 如如
如2.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
defisker
1楼-- · 2019-07-15 23:12
 精彩回答 2  元偷偷看……
gegesunwen
2楼-- · 2019-07-16 02:13
i请问这个问题解决了吗?我也遇到了同样的问题

一周热门 更多>