xilinx 错误

2019-07-15 21:23发布

ERROR: [VRFC 10-2063] Module <top> not found while processing module instance <uut> [F:/Vivado_program/gate1_vhdl/gate1_vhdl.srcs/sim_1/new/test1.v:26]
ERROR: [XSIM 43-3322] Static elaboration of top level Verilog design unit(s) in library work failed.
错误显示这个,不太懂这是什么意思。
就是我在设计行为级仿真时,代码完全是书上的,代码没有错误,但是不能仿真,出现这样的错误,希望大神们能够解答一下

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
a13413299036
1楼-- · 2019-07-16 22:33
 精彩回答 2  元偷偷看……
清香自来6
2楼-- · 2019-07-17 02:45
a13413299036 发表于 2017-10-4 10:24
你这不是top模块,top模块就是顶层模块的意思,估计建立项目时起的名字和模块名字没有对应,所以发生了这个错误

嗯嗯嗯,对对对

一周热门 更多>