刚开始学习FPGA,最近想要制作一个电子时钟,发现输出数码管的片选和段选无法仿真

2019-07-15 21:37发布

分频模块仿真成功了,计数器也可以,现在就差dig和seg了,仿真一直是红线,可是我在vt文件中已经设置过初值了,不知道为什么还是不能用,希望有master指导一下,感激不尽
last.zip 下载积分: 积分 -1 分
975.47 KB, 下载次数: 26, 下载积分: 积分 -1 分
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
16条回答
布莱克1997
1楼-- · 2019-07-16 23:25
kyle5566 发表于 2017-6-12 20:14
你把代码贴出来啊,不然怎么知道你问题在哪啊。。。

这是激励文件
捕获7.PNG
布莱克1997
2楼-- · 2019-07-17 03:01
kyle5566 发表于 2017-6-12 20:14
你把代码贴出来啊,不然怎么知道你问题在哪啊。。。

现在的问题是下到板子里是可以的,仿真时段选和位选一直不变,等于初值,如果不赋初值的话就一直是红线
捕获8.PNG
小刀哥
3楼-- · 2019-07-17 03:19
建议调出中间模块的寄存器,然后可以验证中间变量
布莱克1997
4楼-- · 2019-07-17 03:30
 精彩回答 2  元偷偷看……
布莱克1997
5楼-- · 2019-07-17 07:45
小刀哥 发表于 2017-6-18 09:39
建议调出中间模块的寄存器,然后可以验证中间变量

太感谢了,调出来之后发现是扫描用的count变量没有变,赋过初值就好了
捕获10.PNG
kyle5566
6楼-- · 2019-07-17 12:40
布莱克1997 发表于 2017-6-17 22:06
代码如下,谢谢啦

count需要赋一下初值,不然状态会一直是未知的,今天上线刚看到回复

一周热门 更多>