刚开始学习FPGA,最近想要制作一个电子时钟,发现输出数码管的片选和段选无法仿真

2019-07-15 21:37发布

分频模块仿真成功了,计数器也可以,现在就差dig和seg了,仿真一直是红线,可是我在vt文件中已经设置过初值了,不知道为什么还是不能用,希望有master指导一下,感激不尽
last.zip 下载积分: 积分 -1 分
975.47 KB, 下载次数: 26, 下载积分: 积分 -1 分
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
16条回答
newer123
1楼-- · 2019-07-17 17:43
你们用的都是什么FPGA板子啊
匆匆离去
2楼-- · 2019-07-17 22:23
谢谢楼主分享!
100dongdong
3楼-- · 2019-07-18 04:08
 精彩回答 2  元偷偷看……
idianze
4楼-- · 2019-07-18 08:20
无回帖,不论坛

一周热门 更多>