基于FPGA用Verilog HDL设计一个数字时钟

2019-07-15 21:38发布

利用开发板上的十六进制7段数码显示译码器设计一个标准数字时钟,八个数码管分别显示小时、分钟、秒,显示格式为24小时进制格式具备按键清零功能。用的是cyclone代码有注释的话最好
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
布莱克1997
1楼-- · 2019-07-15 23:53
帮顶
胖胖熊啊
2楼-- · 2019-07-16 01:52
不要做伸手party嘛
kyle5566
3楼-- · 2019-07-16 05:19
 精彩回答 2  元偷偷看……
Oswald_
4楼-- · 2019-07-16 07:13
只能帮顶咯
公子yin
5楼-- · 2019-07-16 12:24
作业还是要自己做的

一周热门 更多>