求助,quartus的tb调用modelsim,输入的波形正常,但是输出波形怎么都不变?

2019-07-15 21:41发布

初始化了0,1,输出就一直是0,1
不初始化,就一直是红线U

捕获.PNG
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
非典型废言
1楼-- · 2019-07-16 01:46
没初始化就是这样
1.PNG
alasga
2楼-- · 2019-07-16 02:05
 精彩回答 2  元偷偷看……
kyle5566
3楼-- · 2019-07-16 03:49
都不知道你要做的是个什么东西,光是看仿真图怎么会知道是什么问题,你那个代码肯定有问题,要解决就赶紧把代码贴出来,不然谁都没法帮你!

一周热门 更多>