求各位大神知道,计数器为什么不能计数

2019-07-15 21:42发布


verilog代码 verilog代码
仿真时序 仿真时序
测试程序 测试程序
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。