求教, 仿真就出错了

2019-07-15 21:42发布

library ieee;
use ieee.std_logic_1164.all;

entity first is
        port(x:in std_logic_vector(1 downto 0);
                        y:out std_logic_vector(1 downto 0));
        end first;

architecture behave of first is
        begin
                y(1)<=x(1);
                y(0)<=x(0);
        
        end behave;



QQ图片20170516091749.png


刚下载的9.0,前边都没错,也没警告,到了 仿真就出错了。

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
songqiaiwen
1楼-- · 2019-07-16 02:30
没破解完全,在破解一遍
空有一腔热血
2楼-- · 2019-07-16 04:15
songqiaiwen 发表于 2017-5-16 09:46
没破解完全,在破解一遍

64位的如何破解,  按教程破解了好多次,也不行
QQ图片20170516161718.png
空有一腔热血
3楼-- · 2019-07-16 09:08
 精彩回答 2  元偷偷看……
songqiaiwen
4楼-- · 2019-07-16 13:52
空有一腔热血 发表于 2017-5-16 16:18
破解到这个样子,是不是 没破解完全, 难受

没有破解完全,我记得这个quartus9.0有破解步骤呀,我不用这个软件好久了,但是电脑上还装着,好久之前破解的,破解完这样。
QQ截图20170516163103.png
songqiaiwen
5楼-- · 2019-07-16 14:13
空有一腔热血 发表于 2017-5-16 16:18
破解到这个样子,是不是 没破解完全, 难受

你搜一下csdn上有个9.0的破解器,你要是不着急等我回家找找我以前的破解器发给你
songqiaiwen
6楼-- · 2019-07-16 16:22
空有一腔热血 发表于 2017-5-16 16:18
破解到这个样子,是不是 没破解完全, 难受

http://pan.baidu.com/s/1slwJxUH在我的 {MOD}下载一个破解器,放到bin文件下,生成一个license文件,然后打开把hostID改成自己网卡的,然后再添加,添加完设置一下环境变量。实在不行找个破解成功的同学呀帮忙吧

一周热门 更多>