FPGA中的计数器有多少怎么查看

2019-07-15 21:45发布

买了个开发板芯片EP4CE6E22C8

有个程序是


module ex1(clk,rst_n,led);


input clk,rst_n;
output led;
reg[23:0] cnt


always@(posedge clk or negedge rst_n)
if(!rst_n)cnt<=24'd0;
else cnt<=cnt+1'b1;


assign led=cnt[23];


endmodule




想问下这个程序里面,reg cnt是什么意思???直接调用板子上的计数器嘛?那板子上的计数器是在哪里呢?硬核里面?


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
reallmy
1楼-- · 2019-07-15 23:00
建议楼主先学习verilog,在了解下fpga内部资源。。。。
kyle5566
2楼-- · 2019-07-15 23:01
这是基础问题,你还是看看verilog基本语法吧
fovefeng
3楼-- · 2019-07-15 23:16
reg[23:0] cnt 就是定义了一个24位的寄存器。
YIKE123
4楼-- · 2019-07-16 00:07
首先 我不赞同 楼上俩个个人,大家都是从基本的东西开始的,你们的回答都是什么,装大佬?
首先FPGA有自带的memory,reg[23:0] cnt 是定义了一个24位的寄存器,在此你可以理解为计数器,总共可以计数2^24个
kyle5566
5楼-- · 2019-07-16 04:25
 精彩回答 2  元偷偷看……
有晚风叙旧
6楼-- · 2019-07-16 06:46
YIKE123 发表于 2017-5-8 09:53
首先 我不赞同 楼上俩个个人,大家都是从基本的东西开始的,你们的回答都是什么,装大佬?
首先FPGA有自带的memory,reg[23:0] cnt 是定义了一个24位的寄存器,在此你可以理解为计数器,总共可以计数2^24个

谢谢谢谢!

一周热门 更多>