请教一下,为什么modelsim一下子出不了波形图,而必须按一下run all,他走一点点,再按一下走一点点,很烦

2019-07-15 21:46发布

我  把前面的100ps 改成别的1s,什么,再按all run 都不行,都要等很久,break一下 出一点图形,有没有快速的,之前我仿真cnt数据没有那么大,所以按缩小一下子所有的图就出来了,求教
501.jpg
502.jpg
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
reallmy
1楼-- · 2019-07-16 02:49
 精彩回答 2  元偷偷看……
lfjd05
2楼-- · 2019-07-16 08:39
把你的testbench贴出来,应该是里面加了stop,或者看看你的modelsim有没有蓝 {MOD}字体的的警告

一周热门 更多>