模块例化错误

2019-07-15 21:49发布


SW[1]这样子例化错误,请问下,不能这样例化的吗?
在顶层模块是input   [4]sw;这样定义的,然后在底层模块要使用到sw[1]这个开关信号,现在例化这个信号出错了,求解。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
7条回答
电人
1楼-- · 2019-07-17 01:27
YIKE123 发表于 2017-04-19 09:17
我不知道你说的是不是这个意思,以前我写寄存器的时候reg  [15:0] a [5:0],这样写就是有6个16位寄存器,用的时候才是a[0] a[1]。。。

我就是这个意思,但是例化单独一个16位的寄存器的时候编译错误

一周热门 更多>