我要在testbench中读入一个外部文件作为输入信号,在modelsim仿真中,显示的输入信号一直为 未知 求解中!

2019-07-15 21:55发布

2.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
12条回答
kyle5566
1楼-- · 2019-07-15 22:58
总是显示读取数据失败     代码没看出什么问题    哪位大神救救我吧
kyle5566
2楼-- · 2019-07-15 23:13
解决了   还得心细 啊
火树花若燃
3楼-- · 2019-07-16 02:32
你好,请问你是怎么解决的,方便贴一下代码吗?谢谢
kyle5566
4楼-- · 2019-07-16 03:40
火树花若燃 发表于 2017-5-23 18:36
你好,请问你是怎么解决的,方便贴一下代码吗?谢谢

cic cic1(
              .clk(clk),
                             .rst(rst),
                             .xin(xin),
                             .Yout(Yout),
                                  .rdy(rdy),
                                  .intout(intout),
                             .dout(dout),
                             .yt(yt)
               );
                                                  
        initial clk = 1;
        always#(`clk_period/2) clk = ~clk;
       
        initial
           begin
             rst = 0;
           #(`clk_period*5) rst = 1'b1;
           end
        initial begin
            $readmemb("D:/altera/cic/E6_8_sin_in.txt",data_mem);//将sin_in中数据读入存储器data_mem
                 xin = 0;
                 #(`clk_period*10)
                  for(i=0;i<=4000;i=i+1)
             @(posedge clk) xin = data_mem;
            //#(`clk_period*10)
                 $stop;
                end
               
//滤波器输出信号写入文件
initial        
   begin       
   fid = $fopen("D:/altera/cic/sin_out.txt");
        for(j=0;j<=800;j=j+1)
        @(negedge rdy)
   $fdisplay(fid,"%d",Yout);
        if(j == 800)
   $fclose(fid);
        end
hagdh
5楼-- · 2019-07-16 04:38
 精彩回答 2  元偷偷看……
kyle5566
6楼-- · 2019-07-16 10:10
hagdh 发表于 2017-6-5 22:35
我是新手可能问的有点基础,你的intout和dout分别是什么接口。我最近正在学习FPGA的FIR滤波器。谢谢

这是testbench里面的例化,它们接的是上一级的输出以及下一级的输入,中间信号

一周热门 更多>