我要在testbench中读入一个外部文件作为输入信号,在modelsim仿真中,显示的输入信号一直为 未知 求解中!

2019-07-15 21:55发布

2.png
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
12条回答
hagdh
1楼-- · 2019-07-16 14:22
还有一个问题 ,你贴出来的正确代码中  data_mem和i都没有定义啊 testbench怎么仿真通过的?
kyle5566
2楼-- · 2019-07-16 14:26
hagdh 发表于 2017-6-6 16:26
还有一个问题 ,你贴出来的正确代码中  data_mem和i都没有定义啊 testbench怎么仿真通过的?

这个只是testbench中主要的部分代码,端口定义没有贴出来,下面所使用到的端口都定义了,不然肯定出不了结果啊
hagdh
3楼-- · 2019-07-16 16:35
 精彩回答 2  元偷偷看……
hagdh
4楼-- · 2019-07-16 17:56
版主 我还有其他问题不明白,能不能加个QQ学习一下啊,我的QQ578240076,谢谢。
请问1894
5楼-- · 2019-07-16 21:58
本帖最后由 请问1894 于 2017-12-3 21:46 编辑

楼主。。你前后的区别只是把时间改了啊
yin281833005
6楼-- · 2019-07-17 03:08
CIC滤波器 插值  抽取

一周热门 更多>