verilog如何实现十进制数按个位十位拆开?

2019-07-15 22:01发布

verilog有没有什么方法把一个十进制数按个位十位拆开,不用除法和取余?求大神讲解
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
黑桃ACE
1楼-- · 2019-07-16 01:37
 精彩回答 2  元偷偷看……
自动化11201
2楼-- · 2019-07-16 03:26
verilog不能取余取模吗
sphmercury
3楼-- · 2019-07-16 09:20
二进制转bcd,记得有个算法叫左移加三法,你可以搜一下
带电岳飞侠
4楼-- · 2019-07-16 11:54
自动化11201 发表于 2017-2-12 19:06
verilog不能取余取模吗

取除、取余比较耗FPGA资源,而且编译器有时候会综合不出来
runileking
5楼-- · 2019-07-16 14:07
查表吧,如果两位数的话也就10种情况,占不了多少资源
怪人Yarrey
6楼-- · 2019-07-16 14:37
 精彩回答 2  元偷偷看……

一周热门 更多>