FPGA verilog浮点数运算

2019-07-15 22:07发布

求用verilog实现浮点数运算的资料,谢谢
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
15条回答
LQH9249
1楼-- · 2019-07-17 19:01
 精彩回答 2  元偷偷看……
CHNlyt
2楼-- · 2019-07-18 00:02
黑桃ACE 发表于 2016-12-18 11:21
opencores上有开源的代码,http://opencores.org/projects


不错啊,谢谢分享!!!
fdsfengwu
3楼-- · 2019-07-18 05:40
用自带的IP核可以实现吧,例化一下就可以了

一周热门 更多>