利用FPGA实现频率测量,测量不准确,求助!!多谢各位大侠~

2019-07-15 22:08发布

本帖最后由 晴天看云笑 于 2016-12-6 22:55 编辑

times New Roman">         小弟入门学习FPGA,想实现一个简易频率计,FPGA芯片EP4CE6E22C8晶振50MHz,仿真没问题,但测试发现输入信号在10MHz以下计数正常,高于之后计数会不准确,难道这种方法有问题?想来想去也不知道是什么问题,以下为代码,望各位大神能解答。
module fcount(signal_in,clk,fout);
input signal_in; //待测信号
input clk;   //50MHz晶振
output fout; //信号频率
reg[31:0] count=0;        
reg[31:0] fout_temp=0;        
reg[31:0] fout=0;        
//获得时间闸门
always @(posedge clk)
        begin
                if(count >= 100_000_000)
                        count = 0;
                else
                        count <= count+1;
        end
//在1s内对输入信号上升沿计数,计数值fout即是频率
always @(posedge signal_in)
        begin
                if(count > 50000000)
                        fout_temp = 0;
                else if(count == 50000000)
                        fout <= fout_temp;
                else
                        fout_temp <= fout_temp+1;
        end
endmodule


友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
13条回答
fly1986163
1楼-- · 2019-07-15 22:45
骚年,你用50M去测量10M,但是如果测量更高的话肯定不准确,如果要测量的话最好使用PLL,把时钟进行倍频,升到100M或者200M,cyclone4系列的芯片可以跑200M时钟的 最佳答案
xfwu
2楼-- · 2019-07-16 04:40
这种情况可能是时序上不满足,计数器有点大了,会影响最大频率fmax。
chenwei6991627
3楼-- · 2019-07-16 06:38
搞了当然不行了啊,10M还低啊
晴天看云笑
4楼-- · 2019-07-16 08:32
chenwei6991627 发表于 2016-12-7 09:04
搞了当然不行了啊,10M还低啊

FPGA能处理高速信号,信号频率应该不止10MHz吧?可看到有人做的频率计能测到100MHz。
晴天看云笑
5楼-- · 2019-07-16 10:40
 精彩回答 2  元偷偷看……
晴天看云笑
6楼-- · 2019-07-16 13:33
xfwu 发表于 2016-12-7 07:56
这种情况可能是时序上不满足,计数器有点大了,会影响最大频率fmax。

但32位计数器最大值远大于此,不是很明白。

一周热门 更多>