FPGA应该怎样存储采集到的8个32位数据

2019-07-15 22:18发布

直接用8个32位寄存器存储可以吗,有什么优缺点?也可以存储到片上RAM吗?另外FIFO和FLASH也可以用吗?

请各位前辈指教一下,谢谢。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
ganquanlu
1楼-- · 2019-07-16 01:47
可以的,建一个2维的,类似于c语言里面的数组,8*32 最佳答案
南盗
2楼-- · 2019-07-16 05:28
8个32位数据,直接用reg吧。

一周热门 更多>