求助quartus编译错误10500

2019-07-15 22:47发布

LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENtiTY P2 IS
PORT
    (
      countdiv,en:IN        STD_LOGIC;
      rst        :IN        STD_LOGIC;
     );
BEGIN
PROCESS (countdiv,en)
BEGIN
   IF rst='1' THEN
      en<='0';
else
        IF countdiv="1111"  THEN
           clr<='1';
        ELSE
           clr<='0';
        END IF;
        IF countdiv<="0111" THEN
           en<='1';
        ELSE
           en<='0';
        END IF;
        END IF;
ceen<=en;
END PROCESS;
END P2;
10500.png
求助告诉我怎么改 谢谢

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
2013crazy
1楼-- · 2019-07-16 02:05
 精彩回答 2  元偷偷看……
2013crazy
2楼-- · 2019-07-16 04:12
最后一个std_logic那里不要加分号
悄悄xixi
3楼-- · 2019-07-16 09:02
2013crazy 发表于 2016-5-3 16:04
最后一个std_logic那里不要加分号

已经解决了 谢谢
赫连玄凪(John)
4楼-- · 2019-07-16 09:41

谢谢分享,楼主辛苦了

一周热门 更多>