quartus编译错误10482

2019-07-15 22:47发布

aaa.png
程序如下:
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.ALL;
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
USE IEEE.STD_LOGIC_ARITH.ALL;
ENtiTY P2 IS
BEGIN
PROCESS (countdiv,en)
BEGIN
   IF rst='1' THEN
      en<='0';
else
        IF countdiv="1111"  THEN
           clr<='1';
        ELSE
           clr<='0';
        END IF;
        IF countdiv<="0111" THEN
           en<='1';
        ELSE
           en<='0';
        END IF;
        END IF;
ceen<=en;
END PROCESS;
END P2;


不胜感激
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
8条回答
悄悄xixi
1楼-- · 2019-07-17 04:12
正在路上的 发表于 2016-5-3 12:46
一看就不看书,直接抄程序的吧。毕设?还是课设

Error (10500): VHDL syntax error at PROCESS.vhd(10) near text ")";  expecting an identifier, or "constant", or "file", or "signal", or "variable"  这个搞不懂
正在路上的
2楼-- · 2019-07-17 06:02
 精彩回答 2  元偷偷看……

一周热门 更多>