quartus错误10500解决办法

2019-07-15 22:48发布

QQ图片20160429222427.png
Error (10500): VHDL syntax error at PROCESS.vhd(1) near text "P2";  expecting "entity", or "architecture", or "use", or "library", or "package", or "configuration"
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
zhumozi
1楼-- · 2019-07-15 23:18
你这个进程前丢了什么东西
悄悄xixi
2楼-- · 2019-07-16 03:38
zhumozi 发表于 2016-4-30 12:58
你这个进程前丢了什么东西

没有 是一个时基产生与测频时序控制电路的程序    是不是我的程序缺少了一一部分?
悄悄xixi
3楼-- · 2019-07-16 05:30
 精彩回答 2  元偷偷看……

一周热门 更多>