求用verilog给AD676写一个控制程序啊!!!!

2019-07-15 22:51发布

我想用ad676做一个模拟信号采集转换,但是不知道怎么写控制程序啊!  求大神

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
runileking
1楼-- · 2019-07-16 03:27
直接用{}组,比如两个8位reg,a=8'h01,b=8'h10;wire [15:0]c,assign c={a,b};这样就把两个8位变成一个16位,大括号里边可以加很多个,位数也可以不一样, 最佳答案
runileking
2楼-- · 2019-07-16 07:27
照着时序图写就可以
mikayla
3楼-- · 2019-07-16 08:12
runileking 发表于 2016-4-22 11:20
照着时序图写就可以

谢谢,经过努力已经写出来啦,,,现在就是不知道怎样能把这些并行数据变成一个串存到FPGA中!
mikayla
4楼-- · 2019-07-16 11:20
 精彩回答 2  元偷偷看……

一周热门 更多>