纯新手,请教各位关于led灯的问题。

2019-07-15 22:56发布

我想做一个3led闪烁电路
我的Verilog代码如下:
module waterL(LED,CLK);
input CLK;
output[2:0] LED;
reg [2:0]LED=3'b111;
reg [24:0]cnt=0;
always@(posedge CLK)
begin
if(cnt==25'b1_0111_1101_0111_1000_0100_0000)
begin
LED<=~LED;
cnt<=0;
end
else
cnt<=cnt+1;
end
endmodule
编译通过了
下载下去
结果
只是三个led在一直亮
求大神指导

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
猴子派来的救兵
1楼-- · 2019-07-16 16:01
貌似找到问题了  没有输入时钟
猴子派来的救兵
2楼-- · 2019-07-16 18:48
问题已经解决了
蓝渊星
3楼-- · 2019-07-16 20:29
顶!!!!!!!!!

一周热门 更多>