求一个verilog的计数器

2019-07-15 22:59发布

要求count从0计数到200,之后停止计数,且就计数一次
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
5条回答
王久强
1楼-- · 2019-07-15 23:46
是对外部信号计数吗?比如说是一个按键给输入信号或者是传感器
tmmdh
2楼-- · 2019-07-16 00:18
 精彩回答 2  元偷偷看……
我爱小草hj
3楼-- · 2019-07-16 02:27
不难吧                                            
18911405730
4楼-- · 2019-07-16 03:35
支持下楼主,我是一个来赚积分的
清水小和尚
5楼-- · 2019-07-16 09:11
module Counter200(clk,rst_n,cnt);
input clk;
input rst_n;
output reg [7:0] cnt;
always @ (posedge clk or negedge rst_n) begin
if(!rst_n) begin
cnt <= 8'b0;
end
else if(cnt == 200) begin
cnt <= 8'd200;
end
else begin
cnt <= cnt + 8'd1;
end
end
endmodule

一周热门 更多>