【求助】用Verilog HDL编写uart串口通信并存储RAM(ISE)

2019-07-15 23:03发布

本人刚毕业,毫无FPGA开发相关经验。要求用UART串口实现数据收发,并在RAM实现读写,两个功能结合在一起。若有大神能帮助小弟,程序能综合编译,仿真,下板调试成功,愿予一定报酬
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
9条回答
zhoupan1992
1楼-- · 2019-07-16 00:42
可以把要求发给我看下么?我的QQ1552040771。当练练手了 最佳答案
xyz549040622
2楼-- · 2019-07-16 05:47
推荐楼主买个fpga的开发板,提供技术支持的那种,然后,你懂的。
feeeed
3楼-- · 2019-07-16 11:23
xyz549040622 发表于 2016-2-22 21:45
推荐楼主买个fpga的开发板,提供技术支持的那种,然后,你懂的。

我买了,并且有给相关的学习资料,但是没有我要的功能。你编的来吗?功能上也不是很麻烦,帮个忙呗
xyz549040622
4楼-- · 2019-07-16 16:13
feeeed 发表于 2016-2-26 17:18
我买了,并且有给相关的学习资料,但是没有我要的功能。你编的来吗?功能上也不是很麻烦,帮个忙呗

提供技术支持的话,直接问他。FPGA我也不懂,只是给你个建议。
feeeed
5楼-- · 2019-07-16 17:38
 精彩回答 2  元偷偷看……
feeeed
6楼-- · 2019-07-16 22:58
顶一下额废物范文芳我

一周热门 更多>