modesim_altera仿真ROM时总出错,ROM里的数据全是0

2019-07-15 23:06发布

modesim_altera不是已经集成altera的IP核,两天了,还没找到解决办法。下面是出错代码,跪求解释???
# ** Warning: (vsim-3534) [FOFIR] - Failed to open file "./source/pika.hex" for reading.
#
# No such file or directory. (errno = ENOENT)    : E:/sofeware/modelsim_ase/win32aloem/../altera/verilog/src/altera_mf.v(783)
#    time: 0 ps  Iteration: 0  Instance: /vga_module_vlg_tst/i1/U3/altsyncram_component/genblk1/altsyncram_inst
# ERROR: cannot read ./source/pika.hex.
# ** Warning: (vsim-7) Failed to open readmem file "./source/pika.ver" in read mode.
#
# No such file or directory. (errno = ENOENT)    : E:/sofeware/modelsim_ase/win32aloem/../altera/verilog/src/altera_mf.v(48091)
#    Time: 0 ps  Iteration: 0  Instance: /vga_module_vlg_tst/i1/U3/altsyncram_component/genblk1/altsyncram_inst
#  Note : Cyclone IV E PLL locked to incoming clock
# Time: 90000  Instance: vga_module_vlg_tst.i1.U1.altpll_component.cycloneiii_pll.pll3

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
hawke
1楼-- · 2019-07-16 01:47
hex文件路径问题,可以修改readmem参数路径。
在modelsim中,hex和v文件的相对路径变了。
北戴河长春
2楼-- · 2019-07-16 03:16
hawke 发表于 2016-1-15 08:29
hex文件路径问题,可以修改readmem参数路径。
在modelsim中,hex和v文件的相对路径变了。

什么是readmem,我还是个小白,还请前辈指点

一周热门 更多>