关于Quartus II调用ROM IP核时的一连串问题,纠结两天了~求高人解答

2019-07-15 23:11发布

最近做仿真需要用到Quartus ii里的ROM IP核,为了验证功能,我新建了工程,生成IP核,数据深度512,位宽8bit,用matlab产生512个随机整数,新建hex文件,将512个随机数粘贴进hex文件表格里,保存后导入ip核。编写v文件和testbench代码,想在测试时根据addr依次读出rom中存储的随机数。结果仿真波形如下,没报错:
                              IMG_20151222_104356.jpg

输出波形完全看不懂。。。
后来我又生成了mif文件,直接在已生成的ip核中修改了初始化文件为mif文件,结果点击Finish按钮时就开始报错,
IMG_20151222_102740.jpg
实在弄不动了,请教大神是什么原因~
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。