问一下msp430的仿真机JTAG四线接法与两线接法烧录时如何区分

2019-03-24 09:48发布

我想用外部的MSP-FET430UIF仿真机给5529烧录,单片机的板引出来的只有SBW的两线接口,我把仿真机接成两线的SBW接法时我想知道仿真机是如何识别现在接口是两线跟四线的。。我是不是不用管仿真机JTAG的接法直接烧录就行了(仿真机内部会自动识别)还是四线跟两线需要在烧录时设置些什么东西(需要信号告诉仿真机现在JTAG口的接法是什么)?

此帖出自小平头技术问答
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
6条回答
眼大5子
1楼-- · 2019-03-24 13:16
烧录的话用BSL就行了,SBW是仿真用的
hsylj_520101
2楼-- · 2019-03-24 16:05
 精彩回答 2  元偷偷看……
bobde163
3楼-- · 2019-03-24 18:18
hsylj_520101 发表于 2015-9-10 12:54
我想用IDE软件直接烧录。。。

你用的是什么IDE?
bobde163
4楼-- · 2019-03-24 22:30
hsylj_520101 发表于 2015-9-10 12:54
我想用IDE软件直接烧录。。。

如果你用的是IAR进行开发的话,我找到一个关于430仿真器的使用说明,里面有说到你提的这个问题
http://wenku.baidu.com/view/1305736b312b3169a551a40d.html?re=view
第7大点,20页的地方,说要选择使用4线的还是2线的烧写方式,你看一下
hsylj_520101
5楼-- · 2019-03-25 03:33
 精彩回答 2  元偷偷看……
蓝雨夜
6楼-- · 2019-03-25 08:57
PDF里有说明的

你先看看

一周热门 更多>

相关问题

    相关文章