FPGA的rom存储方式是什么?

2019-07-15 23:22发布

小弟使用EP2C8Q208C8N利用mif文件来实现rom,想问下,这里的rom是以字节、字还是其他什么方式存储的?
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
3条回答
349060579
1楼-- · 2019-07-16 04:53
是根据自己设置的。第一行是设置输出数据的位数(即一个存储空间所对应的存储数据),第二行表示以8位为一个存储空间,那么需要多少个存储空间。 最佳答案
B36KV9G_WC4@LV[XyV0MR.png
klsn911
2楼-- · 2019-07-16 05:36
 精彩回答 2  元偷偷看……
houxiaoxiao
3楼-- · 2019-07-16 07:17
以字的方式存储,你的存储地址里面存储的是你的数值,但是这个数值的位宽由你自己定义

一周热门 更多>