一段verilog程序,大家帮看一下 那里错了

2019-07-15 23:31发布

本帖最后由 elecfans跑堂 于 2015-9-14 09:20 编辑

module test;
reg a,b,c;
wire out;
`define aa a+b
`define cc c+`aa
begin
assign out=`cc;
$display("Q");
end
endmodule
错误是;.v(8): near "$display": syntax error, unexpected SYSTEM_IDENtiFIER
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
2条回答
leopardwyg
1楼-- · 2019-07-16 03:35
begin
assign out=`cc;
$display("Q");
end
把begin end 去掉
在assign后加initial begin end 把$display包起来试哈
houxiaoxiao
2楼-- · 2019-07-16 04:21
 精彩回答 2  元偷偷看……

一周热门 更多>