请问下面代码哪里有问题

2019-07-15 23:32发布

module wave_gen(address,inclk,select,freq);
output[8:0] address;
input       inclk;
input[1:0]  select;
input[3:0]  freq;
reg[7:0]           Qout;
reg[8:0]    adderss;
reg[7:0]    k,m;
wire [1:0] select;
parameter   sina_wave=2'b00,swat_wave=2'b01,
            squr_wave=2'b10,trig_wave=2'b11;
always @(posedge inclk)
  begin
     case(select)
             sina_wave:begin
                            if(select==2'b01)  address<=128;
                                                if(select==2'b10)  address<=256;
                                                if(select==2'b11)  address<=384;
                                                if(freq==0||freq==1)
                                                   begin
                                                            if(address>=127)  adderss<=0;
                                                                 else              adderss<=address+1;
                                                                 end
                                                else
                                                    begin
                                                         k<=127/freq;
                                                         m<=freq*k;
                                                         if(address>=m)
                                                            adderss<=0;
                                                         else
                                                            adderss<=address+freq;
                                                         end
                                                end
                          swat_wave:begin
                            if(select==2'b00)  address<=0;
                                                if(select==2'b10)  address<=256;
                                                if(select==2'b11)  address<=384;
                                                if(address < 128) adderss<=128;
                                                else
                                                  begin
                                                                if(freq==0||freq==1)
                                                                        begin
                                                                                 if(address>=255)  adderss<=128;
                                                                                 else              adderss<=address+1;
                                                                                 end
                                                                else
                                                                         begin
                                                                         k<=127/freq;
                                                                         m<=freq*k;
                                                                         if(address>=(m+128))
                                                                                 adderss<=9'd128;
                                                                         else
                                                                                 adderss<=address+freq;
                                                                         end
                                                                end
                                                        end
                          squr_wave:begin
                            if(select==2'b00)  address<=0;
                                                if(select==2'b01)  address<=128;
                                                if(select==2'b11)  address<=384;
                                                if(address<256)  address<=256;
                                                else
                                                begin
                                                                if(freq==0||freq==1)
                                                                        begin
                                                                                 if(address>=383)  adderss<=256;
                                                                                 else              adderss<=address+1;
                                                                                 end
                                                                else
                                                                         begin
                                                                         k<=127/freq;
                                                                         m<=freq*k;
                                                                         if(address>=(m+256))
                                                                                 adderss<=256;
                                                                         else
                                                                                 adderss<=address+freq;
                                                                         end
                                                                end
                                                end
                          trig_wave:begin
                            if(select==2'b00)  address<=0;
                                                if(select==2'b01)  address<=128;
                                                if(select==2'b10)  address<=256;
                                                if(address<=384)  address<=384;
                                                else
                                                begin
                                                        if(freq==0||freq==1)
                                                                begin
                                                                         if(address>=511)  adderss<=384;
                                                                         else              adderss<=address+1;
                                                                         end
                                                        else
                                                                 begin
                                                                 k<=127/freq;
                                                                 m<=freq*k;
                                                                 if(address>=(m+384))
                                                                         adderss<=384;
                                                                 else
                                                                         adderss<=address+freq;
                                                                 end
                                                        end
                                                end
                                endcase
                        end
                endmodule

友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
11条回答
何瑶
1楼-- · 2019-07-16 03:02
不知道啊爱的开始叫对方法减肥
houxiaoxiao
2楼-- · 2019-07-16 06:40
 精彩回答 2  元偷偷看……
yangzhiyuan0928
3楼-- · 2019-07-16 10:12
您好!很高兴认识您。
yangzhiyuan0928
4楼-- · 2019-07-16 14:42
本帖最后由 yangzhiyuan0928 于 2015-9-11 10:53 编辑

您好,很高兴认识您!
     首先:代码编译没通过。
     其次:我知道,您是在做一个波形发生器模块,select作为选择输出波形,
但是我没看懂您的代码,特别是case语句中的
                                  if(select==2'b01)  address<=128;
                                  if(select==2'b10)  address<=256;
                                  if(select==2'b11)  address<=384;
这些语句,本来case就有条件选择的作用,为什么还要用if。还有就是,能否加点注释,没看懂波形发生器的原理。
    最后:希望和您探讨一下波形发生器设计
烦烦烦小灰
5楼-- · 2019-07-16 17:19
我觉得您的程序有几个问题,第一就是代码很乱,格式的问题,如果要让别人看的话,格式要修改的好一点,然后大致看了一下,就是那个状态机里面,select就是用来选择状态的,里面还加if语句干嘛?逻辑有点混乱。
烦烦烦小灰
6楼-- · 2019-07-16 18:38
还有就是select,状态机在什么时候跳转,你的select这个信号还没有写,肯定有问题啊

一周热门 更多>