FPGA中该怎么储存一维向量呢?

2019-07-15 23:37发布

如题:现在又多个向量,形式类似这种(1,2,3),但是不知道怎么储存,储存后要读出来和其他向量做 对应的加减运算。有谁有这方面的经验求交流。
友情提示: 此问题已得到解决,问题已经关闭,关闭后问题禁止继续编辑,回答。
该问题目前已经被作者或者管理员关闭, 无法添加新回复
4条回答
花涛
1楼-- · 2019-07-16 02:05
memory可以实现!!!!
runileking
2楼-- · 2019-07-16 07:58
reg [7:0]mem[0:2]表示三个存储八位数的存储器
mem[0]<=8'd1;
mem[1]<=8'd2;
mem[2]<=8'd3;
这样后边可以调用mem[n]来调用1,2,3进行运算
agdhun
3楼-- · 2019-07-16 11:22
可以用hex文件储存
edu118118118
4楼-- · 2019-07-16 17:13
 精彩回答 2  元偷偷看……

一周热门 更多>